5部 関数リファレンス

package

package NAME



取り込む一番内側のブロック、サブルーチン、eval、またはファイルの残りの部分が、名前空間NAMEに属することを宣言します。

package宣言より後ろでは、修飾されていないグローバル識別子は、宣言されたパッケージのシンボルテーブルを探すことによって解決されます。package宣言は、グローバル変数だけに影響を与えます。myによって生成したローカル変数には影響を与えません。

通常、package宣言は、requireuseによって取り込まれるファイルの一番先頭に置かれます。しかし、文を置ける場所なら、どこにでも置くことが可能です。クラスやモジュールファイルを定義するときには、混乱を避けるためにパッケージとファイルに同じ名前をつける習慣になっています。なお、パッケージは大文字で始まる習慣になっています。

packageの使い方

package same_module;... 

使い方はパッケージとモジュールを参照してください。

関連記事